Skip to main content
VLSI CAD LAB
University of California, Santa Barbara
Home
People
Research
Publications
Contact
Publications
Search
Show only items where
Author
any
Aikyo, T
Aitken, J
Anderson, B
Brewer, F
Chai, D
Chang, Chih-Wei
Chang, D
Chang, Ya-Ting
Chang, Shih-Chieh
Chen, Sao-Jie
Chen, Z
Chen, Fen
Chen, Kuang-Chien
Chen, L H
Chen, B
Cheng, David I
Cheng, Chung-Kuan
Cheng, Kwang-Ting
Divecha, R
Dutta, R
Fan, Hongbing
Feng, K D
Funabiki, N
Gatlin, D
Grygiel, S
Ha, H
Hellebrand, S
Henson, W K
Hsiao, Ming-Fu
Hu, Bo
Jiang, Yi-Min
Jiang, Hailin
Jozwiak, L
Kane, T
Kasprowicz, D
Kinser, E
Kondratyev, A
Kontra, R
Kozhaya, J
Krstic, A
Kuh, E S
Kumar, M
Kuo, Yu-Min
Kuzmicz, W
Lee, Bill
Lee, Tien-Chien
Li, Di-an
Li, X
Li, Jeong-Tyng
Lin, Yi-Wei
Lin, Shen
Lin, Chih-Chang
Liu, Qinghua
Long, S I
Luba, T
Macchiarulo, Luca
Maire, F
Makedon, Fillia
Malvi, R
Maly, Wojciech
Maly, Wojciech
Marek-Sadowska, Malgorzata
Marek-Sadowska, M
Matheron, C
Mehta, Vishal
Merek-Sadowska, Malgorzata
Mittl, S
Mocuta, D
Modi, Nilesh
Mukherjee, Arindam
Nandakumar, V S
Nassif, S R
Newmark, D
Nowicka, M
Parthasarathy, G
Parthasarathy, Ganapathy
Pedram, M
Perkowski, M
Pfitzner, A
Pikus, Fedor G
Qiu, Xiang
Rajski, Janusz
Ran, Yajun
Rieger, Michael L
Sarrafzadeh, M
Shen, N
Shinosky, M
Shu, Shih-Min
Singh, Amit
Singh, N
Singh, P
Singh, Vivek K
Su, Yu-Shih
Suaris, P
Sudhakar, R
Swift, A
Tarng, Tom Tsan-Kuo
Todri, Aida
Tompson, R
Torres, Andres
Tsai, Chien-Chung
Tsai, Chung-Kuan
Tsai, Kun-Han
Tseng, Kenneth
Tsukiyama, Shuji
Van Ginneken, L P P P
Vittal, Ashok
Wang, Yun
Wang, Yanfeng
Wang, Da-Chung
Wang, Kai-Ping
Wang, Zhi
Wang, Kai
Wang, Zhiyuan
Wang, Deborah C
Watanabe, Yosinori
Weng, Shih-Hung
Wong, C K
Woo, Nam-Sung
Wu, Yu-Liang
Wuu, Jen-Yi
Xiao, Tong
Yang, Sherry
Yeh, Chao-Yang
Zeng, Yue
Zhan, Yaping
Zhang, Jin S
Type
any
Conference Paper
Journal Article
Term
any
Year
any
2012
2011
2010
2009
2008
2007
2006
2005
2004
2003
2002
2001
2000
1999
1998
1997
1996
1995
1994
1993
1992
1991
1990
1989
1987
1985
1984
1983
Keyword
any
0.25 micron
0.5 micron
0.5 mum
130 nm
180 nm
2-D FPGAs
2-layer channel-routing problem
2.5 V
2D array
2D FPGA
2D FPGA routing
2D interval packing problem
3-D geometry transistor
3D architectural blocks
3D design space exploration
3D hybrid architecture
3D integration
3D junctionless VeSFET
3D multicore architectures
3D multicore processor
3D network-on-chip architecture
500 MHz
625 MHz
65 nm
715 MHz
90 nm
abutment
accuracy
Actel 2
active area
add-a-wire-and-remove-a-wire operation
adders
addition
advanced VLSI circuits
aggressor
aggressor alignment
algebraic factorization
algorithm
algorithms
alternative function
alternative wire
alternative wire identification
alternative wires
analysis tool
analytic placement
AND gates
AND/XOR canonical networks
AND/XOR representations
annealing refinement stage
application specific integrated circuits
application-specified integrated circuits
approximation accuracy
arbitrary fixed switch box topology
architectural level routing
architecture resources
area improvement
area optimization
area overhead
area-optimization heuristic
arithmetic functions
array size
array type architectures
array-type FPGA
ASIC
ASIC design
ASICs
assymetrical bidirectional currents
ATPG
ATPG guided logic optimization
ATPG-based logic synthesis
automatic place
automatic synthesis
automatic test pattern generation
automatic testing
automatic-test-pattern-generated sets
back-mapping
back-mapping process
basic arithmetic operations
basic logic block
BDD
BDD-based techniques
BDD-type structures
BDDs
bin-packing heuristic
binary decision diagram
binary decision diagrams
block-based statistical static timing analysis
Boolean algebra
Boolean cover
Boolean equations
Boolean formulation
Boolean function
Boolean function classes
Boolean functions
Boolean functions classification
Boolean logic
Boolean logic optimization
Boolean matching
Boolean network
Boolean network functional symmetries
Boolean networks
boolean optimization
Boolean optimization method
Boolean satisfiability
boundary scan testing
bounding box placers
BSIM3v3 model
buffer area
buffer area minimisation
buffer circuits
buffer delay change
buffer delay model
buffer insertion
buffer resizing
buffer width
buffered clock trees
built-in self test
built-in-self-test
C-SBGT algorithm
cache block
CAD
candidate wires
canonical forms
capacitance
capacitive coupling-induced crosstalk noise
capacitive coupling-induced delay
capacitive couplings
capacitive loading
capacitively coupled lines
capacitor switching
carrier velocity saturation
CD threshold
cell based design
cell class
cell interconnect layouts
cell layout-performance relationships
cell level routing
cell library
cell power noise estimation
cell-level interconnect pattern
cellular arrays
channel density
chip
chip manufacturability
chip performance
chip performance optimization
circuit analysis computing
circuit blocks
circuit CAD
circuit clocking frequency
circuit clustering
circuit complexity
circuit component delays
circuit delay
circuit delays
circuit depth optimization
circuit design
circuit design methodology
circuit diagnosis
circuit elements
circuit functional property
circuit layout
circuit layout CAD
circuit mappability prediction
circuit model
circuit noise
circuit operation
circuit optimisation
circuit optimization
circuit parameters
circuit partitioning
circuit performance
circuit performance optimization
circuit placement algorithm
circuit reliability
circuit rewiring
circuit simulation
circuit sizes
circuit stability
circuit structures
circuit techniques
circuit testability
circuit testing
circuit timing
circuit timing information
circuit timing property
circuit timing-information
clock buffers
clock gating
clock jitter
clock mesh distribution networks
clock nets
clock network
clock network optimization
clock network sizing
clock path delay
clock period constraints
clock routing
clock skew
clock skew optimization
clock topologies
clock topology
clocking
clocking components
clocks
closed-form crosstalk delay metrics
closed-form crosstalk noise metrics
closed-form estimation formulas
closed-form formulas
clustering
clustering netlist
clustering-based placement
CMOS circuits
CMOS digital integrated circuits
CMOS gates
CMOS integrated circuits
CMOS logic circuits
CMOS static cells
CMOS technology
CMOS VLSI circuits
coarse mesh
cofactor pair compatibility
combinational circuit
combinational circuits
combinational logic
combinational logic functions
combinational logic restructuring
combinational logic restructuring technique
combinatorial circuits
complementary metal-oxide-semiconductor-based homogeneous 3D NoC
completely specified functions
complex logic transformations
complexity
computability
computation-intensive combinational circuits
computational complexity
conductance circuit simulation technique
congestion minimization techniques
connection flexibility
constant bounded mapping ratios
constant insertion
constant-delay model
constrained region graph
constraint-driven routing
control sequence
controllability
conventional clock tree synthesis
convergent
copper contact
correlation methods
cost function optimization
cost functions
cost-free scan
coupled circuits
coupled clock networks
coupled noise
coupled noise integral
coupled noise reduction
coupling capacitance
coupling direction
coupling effects
coupling jitter
coupling location
cover pattern processing
critical net
crossing distribution problem
crosstalk
crosstalk amplitude
crosstalk aware static timing analysis
crosstalk aware two-pin net router
crosstalk computation
crosstalk coupling
crosstalk delay effects
crosstalk effect
crosstalk induced critical paths identification
crosstalk induced delay
crosstalk minimization
crosstalk modeling
Crosstalk noise
crosstalk noise analysis
crosstalk noise analysis method
crosstalk noise dependency
crosstalk noise modeling
crosstalk pulse width
crosstalk reduction
crosstalk violations removal
crosstalk-induced delay
cube diagram bundles
current densities
current density
custom designed transistor level macros
data structure
datapath circuit
datapath circuits
datapath combinational circuits
dc current densities
DC voltage-transfer characteristics
decap
decap area
decap configuration
decap distribution
decap padding
decaying
decaying effect
decoupling capacitance
decoupling capacitance insertion
decoupling capacitor effectiveness
deep sub-micron technologies
deep submicron chip design
deep submicron digital circuits
deep submicron integrated circuit design
deep submicron technologies
deep submicron technology
deep-submicron circuits
delay
delay analysis
delay budgeting
delay calculation method
delay circuits
delay constrained power optimization
delay defect size
delay equalization
delay estimation
delay expressions
delay fault diagnosis
delay fault identification
delay fault testing
delay model
delay models
delay optimization
delay overhead
delay testing
delay upper bounds
delay variation
delay window propagation
delay-defect diagnosis
delay-defect-size estimations
delay-fault diagnosis
delays
design complexity
design for manufacture
design for testability
design mappability estimation
design methodology
design reliability
design specifications
design timing
design timing failures
design-for-reliability tools
design-for-testability
design-timing failures
detailed routing
deterministic algorithms
deterministic patterns
deterministic routing
DFT
DFT overhead
diagnosis algorithm
diagnostic resolution
diagnostic test patterns
dielectric breakdown
diffusion contacts
digital arithmetic
digital circuit crosstalk
digital circuit optimization
digital circuits
digital integrated circuits
digital MOS circuits
digital VLSI circuit design
direct mapping
directed acyclic graph
directed graphs
discrete gate resizing
discrete-size cell library
distributed parameter networks
distributed RC coupling network
distributed RC coupling trees
divide and conquer methods
divide-and-conquer
division
doglegs
don't cares
Donath method
double-gate transistor-array-based layout
Dragon routability-driven placer
DRAM chips
driver circuits
driver size
driving capabilities
DWP
dynamic noise margins
dynamic power dissipation
dynamic transient current
dynamically reconfigurable FPGAs
earthing
ECL encoder chip
ECO-Map
edge separability
efficiency
efficient algorithm
efficient ordering heuristic
electric noise measurement
electrical constraints
electrical property
electromigration
electromigration constraints
electromigration phenomena
electronic devices
electronic engineering computing
electrostatic discharge
element proximity
empirical measure
energy consumption
engineering change
engineering change orders
engineering changes
epitaxial source-drain
equivalence classes
equivalent circuits
equivalent resistances
error correction
estimate-then-eliminate strategies
estimation theory
estimation-less placement
evaluation machine
expansion-based placer
exponent computation
external don't cares
extra variable
failing pattern analysis
failure analysis
failure analyzer
failure log processing
failure logs
failure mechanisms
fan-out optimization
fanout trees
far-end coupling
fast design convergence
fast design mappability estimation
fast physical verification tool
fast placer implementation
fast placer implementation framework
fast postplacement optimization
fast timing verification
fast turn-around times
fault clustering
fault clustering analysis
fault coverage
fault detection
fault diagnosis
fault location
fault multiplicity
fault simulation
FF reduction algorithm
field effect integrated circuits
field effect transistor circuits
field effect transistors
field programmable gate array
field programmable gate arrays
fine grain 3D integrated regular microprocessor blocks
fine granularity clustering-based placement
fine-granularity clustering algorithm
FinFET
finite state machines
finite-state machines
first-order Taylor expansion
fixed metal masks
fixed point arithmetic
fixed polarity
Fixed Polarity Reed-Muller form
fixed polarity Reed-Muller forms
fixed-point addition
fixed-polarity AND/XOR canonical networks minimisation
flip-flop
flip-flop minimization
flip-flops
flipflop minimization
floorplanning
force directed placement
force directed scheduling
formal verification
four-variable functions
FPGA
FPGA design
FPGA placement
FPGA routing
FPGA routing architecture
FPGA structures
FPGA-based design
FPI
FPI framework
free-scan flip-flops
FSM
FSM decomposition theory
full-scan design
function representation
functional correlation analysis
functional equivalence
functional flexibility
functional irredundant path sensitization criteria
functional logic
functional scan chain testing
functional scan paths
functional symmetries
functional symmetry
functional symmetry based rewiring
GA approach
gain control
Gain-based technology mapping
gate arrays
gate delay
gate delay calculation
gate delays
gate sizing
gate-level Boolean networks
gate-level model
gate-level timing calculation
gate-sizing algorithm
gate-sizing method
gate-to-drain capacitances
gate-to-source capacitances
gated blocks
general cell layouts
general skew constraints
general-purpose fabric
generalised Reed-Muller form
Generalized Reed-Muller
generalized Reed-Muller forms
genetic algorithms
global nets
global placement
global power supply noise
global route mapping
global routing
global routing channel density
global routing technique
global to detailed routing mapping
graph based analysis
graph domain
graph models
graph partitioning
graph theory
greedy 2-D router
greedy channel router
greedy coupling heuristics
greedy routing architectures
grid segments
grid sizing
ground bounce
ground bounce control
ground grid networks
ground mesh sizing problem
ground node potentials
ground noise
group compatibility
H tree
H-tree
hardware cost
heterogeneous 3D chip
heuristic
heuristic algorithm
heuristic approach
heuristic methodology
heuristic switch-level model
hierarchical FPGAs
hierarchical technique
hierarchical test set structure
high diagnostic resolution
high fault coverage
high level synthesis
high performance arithmetic circuits
high speed test pattern generator
high throughput circuits
high-density regular circuits
high-density transistor arrays
high-speed integrated circuits
high-throughput circuit generation
highly periodic layout
hold logic
hold logic design
hold time defect diagnosis
hold time fault diagnosis
hold time violations
horizontal connections
hot carriers
hot-carrier effect
hotspot detection tool
hotspot pattern identification
HSPICE simulation
HSPICE simulations
hybrid methodology
I/O pads
IC design
IC layout
IC manufacturability
IC testing
idle blocks
implicit algorithm
implicit white space allocation
improved performance
in-place optimization
incompletely specified functions
incompletely specified multiple output functions
incremental cell movement scheme
incremental circuit restructuring
incremental logic restructuring
individual wire length prediction
industrial applications
industrial designs
infrared voltage drop effects
inner loops
input negation
input permutation
input switching conditions
input switching dependency capture
input vectors
insulated gate field effect transistors
insulators
integer linear programming
integer programming
integrated circuit
integrated circuit design
integrated circuit fabrication
integrated circuit interconnections
integrated circuit layout
integrated circuit manufacture
integrated circuit manufacturing
integrated circuit measurement
integrated circuit modelling
integrated circuit noise
integrated circuit packaging
integrated circuit reliability
integrated circuit technology
integrated circuit testing
integrated circuits
integrated design flow
integrated logic circuits
integration
intellectual property blocks
inter clock crosstalk
inter-block connections
inter-clock crosstalk
intercell routing
intercell white space
interconnect coupling noise
interconnect delays
interconnect insensitive driver model
interconnect length prediction
interconnect prediction
interconnect resource-aware placement
interconnect structure
interconnect-related failure modes
interconnected IP cores
interconnection
interconnection complexity
interconnections
interconnects
interference suppression
internal nodes
intra-block connections
intracell routing
intrinsic delay
IR drop
IR drop noise
IR drop waveforms
ISCAS
iteration
iterative method
iterative methods
iterative updating
jitter
jitter characteristics
large CMOS designs
large networks
large scale integration
large scan designs
large-scale mesh
large-scale network
latch count minimization
latches
latency
latency penalties
layout
layout aspect ratio
layout effects
layout friendly structures
layout friendly synthesized structures
layout generator
layout object printability
layout style
layout techniques
layout-driven hot-carrier degradation
layout-driven synthesis
leakage currents
leakage power
leakage power reduction
leakage power saving
learning (artificial intelligence)
level-induced jitter
linear circuit elements
linear equations
linear model
linear network analysis
linear program sequence
linear programming
linear programming approach
linear programming-based technique
linear time complexity
linear time-varying driver model
linear-region model
linear-time algorithm
linearized pseudo-symmetric binary decision diagram
literal minimization
lithographic hotspot detection
lithography
local nets
local power supply voltage
local truncation error
locally optimal switch box routing
logic arrays
logic assignments
logic CAD
logic circuits
logic contraction
logic design
logic effort
logic function
logic gates
logic minimization
logic minimization techniques
logic optimization
logic optimization techniques
logic partitioning
logic perturbation
logic representation
logic restructuring
logic restructuring techniques
logic simulation
logic stage
logic synthesis
logic synthesis algorithms
logic testing
logic verification
long wires
long-term reliability
longest delay
look-up tables
lookup-table-type
low complexity
low energy network-on-chip fabric
low granularity pipelining
low-cost BIST scheme
low-overhead scan design methodology
low-overhead scan path design
low-power buffered clock tree design
low-power electronics
lower bound complexity
lower levels
lower-bound time complexity
LTV model
M1-M2 via mask
machine learning
mandatory assignments
manufacturing defects
manufacturing process variations
mappability
mapping
mapping properties
mapping ratio
mapping solutions
mask cost
masks
maximum IR-drop constraints
MCNC
MCNC benchmark
MCNC benchmarks
MCNC circuits
memory array macros
mesh topology
metal gate
metal layers
metal masks
metric of dynamic binateness
microprocessor
microprocessor chips
middle-of-line PC-to-CA dielectric reliability
middle-of-line poly gate-to-diffusion contact reliability issues
min-max delay model
minimisation
minimisation of switching nets
minimization algorithms
minimize
minimizing inter clock coupling jitter
minimum area sequential budgeting
minimum number of blocks
minmax delay model
modeling graph
modern chip designs
MOL PC-CA shorts
MOL PC-to-CA dielectric reliability
monolithic integrated circuits
Monte Carlo methods
MOS integrated circuits
MOS transistors
MOSFET
multi-level combinational circuits
multi-pin net lists
multicore processor
multicore processors
multicore system
multicore systems
multigrid-based technique
multilevel Boolean network optimizer
multilevel combinational networks
multilevel expansion-based VLSI placement
multilevel fixed point addition
multilevel logic synthesis
multilevel logic synthesis method
multilevel networks
multipin net
multiple candidate signals
multiple clock tree routing
multiple fault diagnosis
multiple gating schedules
multiple power gating
multiple-error diagnosis
multiple-fault diagnosis
multiple-valued decomposers
multiple-valued relations
multiple-valued relations decomposition
multiplexer
multiplication
multipliers
multiplying circuits
multiprocessing systems
multiterminal networks
multivalued logic
multivalued logic circuits
mutual contraction
mutually capacitively coupled signals
n-bit adder
n-detection
n-detection test
nanometer technology
nanotechnology
natural representations
near-driver coupling location
near-end coupling direction
near-receiver coupling
net absorption
net absorption algorithm
net ordering
net partitioning
net range
net slack
net weighting delays
netlist
netlist cluster
netlist structure
nets intersection
network optimization
network parameter
network routing
network synthesis
network topology
network-on-chip
NoC
NoC communication fabric
noise
noise delay faults
noise elimination
noise estimation
noise fault
noise faults
noise signals
noise sources
noise waveform
noise waveform width
noise-constrained layout synthesis
noise-on-signal propagation
noniterative layout technique
noniterative synthesis technique
nonlinear programming
nonlinear programming problem
nonlinear resistive device
nonlinear transfer characteristics approximation
nonrobust propagation conditions
nonrobust test
nonrobust test patterns
NP hard problem
NP-complete problem
numerical iterations
OBDD minimization
off-set
on-chip power supply
on-chip power-supply network optimization
on-chip switching
on-set
one-step router
OPC-free IC design
OPC-free interconnect manufacturing process
optical proximity correction
optical proximity correction free process
optimal algorithm
optimal detailed routing
optimal entire-chip routing
optimal polarity
optimal selection
optimal solution
optimisation
optimization
optimization cost function
optimization speed increase
optimization techniques
optimize sequential circuits
OR gates
order graph
ordered binary decision diagrams minimization
output negation
overall circuit functionality
overall device area
packaging
parallel metal tracks
parameter variations
parametric yield
partial correspondence
partial-scan design
partial-scan designs
partition based placement
partitioning approach
partner patterns
pass transistor logic
passing patterns
path delay
path delay constraints
pattern classification
pattern matching
pattern matching-based tools
peak coupled noise voltage bound
peak crosstalk noise
peak crosstalk noise amplitude
peak noise occurring time
performance deterioration
performance improvement
performance loss
performance optimization
performance optimization algorithms
performance optimization issues
permutation equivalent LUTs
perturbation techniques
perturbation theory
phase locked loops
photo-lithographiy
photolithography
physical design
physical layout
physical synthesis
piecewise-linear functions
piecewise-linear techniques
pin assignment
pin assignment algorithm
pin density
pin reordering
pipeline arithmetic
pipeline processing
pipelined interconnect
pipelining techniques
PITIA
placement algorithm efficiency
placement efficiency
placement flow
placement programs
placement quality loss
placement stability
polynomial time mapping solutions
polysilicon control gate
positions
post-layout design
post-layout physical distance
postlayout logic restructuring
potential slack budgeting
power aware computing
power consumption
power delivery
power density
power dissipation
power drops
power estimation
power gated IC
power gating
power gating configuration
power gating designs
power gating scheduling
power grid
power grid analysis
power grid networks
power grid noise
power grid optimisation
power grids
power ground networks
power integrated circuits
power mesh granularity
power minimization
power noise
power noise reduction
power optimization
power reduction
power saving techniques
power sizing problem
power supply
power supply circuits
power supply network
power supply noise
power supply noise aware workload assignment
power supply variations
power supply voltage variations
power timing
power-gated chips
power-gated IC
power-gating
power-gating aware floorplanning
power-gating technique
power/ground IR-drops
power/ground mesh area optimization
power/ground network synthesis
power/ground noise reduction
power/ground supply network optimization
pre-layout physical connectivity prediction
predictable detailed routing
prefabricated logic blocks
prime number based segmentation
probabilistic model
probability
probability-based
probability-based technique
process speedup
process variation
process variations
processor cores
program GUD-MV
programmable cell
programmable logic arrays
programmable logic devices
programmable SRAMs
programmable via mask customized interconnects
proximity effect (lithography)
pseudocells
PTL
PTL mapping
pulse width
quadratic placement technique
quadratic programming
races
RAMFIRE
random pattern resistant faults
random-access storage
rapid layout pattern classification
rapid signal transitions
RC circuits
RC interconnects
reasoning scheme
receiver size
recognizing strings of patterns
reconfigurable architecture
reduced delay error
reduced ordered binary decision diagram
redundancy
redundancy addition
redundancy detection algorithm
redundancy removal
redundancy removal process
redundancy-addition-and-removal
redundant alternative wire
redundant faults
redundant wires
Reed-Muller codes
Reed-Muller forms
regular fabric
regular fabrics
regular segmentation
regular segmented
reliability
reliability analysis
reliability constraints
reliability optimization
reliability problems
Rent exponent
Rent rule
Rent's rule
repeater chain
repeater chains
resistance-inductance-capacitance power-supply network
resistive capacitively coupled lines
resistive VLSI interconnections
retiming
rewiring
rewiring engine
rewiring technique
rise time
RLC circuits
RLC network
ROBDD size minimisation
Roth-Karp decomposition
rough partition representation
routability
routability problem
route strategy
router cost functions
routing
routing algorithm
routing architecture
routing congestion
routing flexibility
routing length
routing problem
routing resource usage
rule-based postprocessor
run time
runtime enhancement techniques
SAT
SAT logic
saturation-region model
scalability
scaling
scan chain
scan design
scan encoded test pattern generation
scan order
scan overhead reduction
scan path
scan paths
scan synthesis
scan-based BIST scheme
scheduling
SDF delay information
sea-of-gates designs
search space reduction
seed-growth clustering algorithm
segmented prefabricated wires
semi-individual wire-length prediction
sequence of linear programs
sequence of linear programs solution
sequential benchmark circuits
sequential circuit
sequential circuits
sequential circuits optimization
sequential circuits partitioning
sequential circuits pipelining
sequential delay budgeting
sequential elements
sequential fault simulation
sequential linear programming
sequential machines
sequential permissible functions
series-parallel functions
shapes
short path activation condition
short-channel MOSFET
short-channel MOSFET behavior
short-channel MOSFET model
signal alignment
signal arrival times
signal functionality
signal ordering
signal propagation
signal routing
signal timing
signal transition correlation
signal transition times
signal transitions
signature of variables
signatures
signatures set
simpler fault simulation
simulated annealing
simulated annealing based placement
simulation results
simulation-based method
simulation-based technique
simulation-based techniques
single processor
single stuck-at fault testability
single-delay-fault diagnosis
single-fault-based diagnostic algorithm
single-pass technique
single-via-mask fabric
size 32 nm
size 45 nm
skew-retiming equivalence
slack assignment algorithm
sleep transistor
sleep transistors
sleep-transistor size
slower-than-nominal clock frequencies
spare cells
spare-recycled cells
SPARSE routability
special net routing
specification change
specified timing constraints
speedup
SPICE
SPICE-like integration approach
square root
SRAM arrays
SRAM based FPGAs
SRAM cells
SRAM chips
SRAM functional stress failures
SRAM read operation
SRAM registers
SRAM stability
SRAM yield loss
standard cell design
standard cell global placement
standard cell implementation
standard cell libraries
standard cell placement tool
standard cells
standard-cell based design
standard-cell design
standard-cell placement problem
standard-cell- based designs
star test
STAR-ATPG
STAR-ATPG algorithm
STAR-BIST
state traversal approach
state variable dependencies
state-variable dependencies
static CMOS combinational circuits
static timing analysis
static timing optimization
statistical Monte-Carlo circuit simulations
statistical static timing analysis flow
statistical timing model
Steiner tree heuristics
stepwise constant conductances
stepwise equivalent conductance model
stepwise equivalent conductance timing simulator
stress liner
strongly unspecified data
strongly unspecified multiple-valued functions
structural metrics
structured ASIC
stuck-at faults
subject function
submicron technologies
suboptimal power supply network design
subtraction
summation of wire lengths
super gate extraction
super-regular layout style
super-regular transistor arrangement
supergate structures
supply noise aware workload assignment method
supply voltage level
support vector machines
SWEC
switch box
switch box connection topology
switch number
switch-level timing analysis
switches
switches per switch box
switching
switching activities estimation
switching circuit
switching circuits
switching currents
switching directions
switching frequency
switching networks
switching theory
switching times
symmetric variables
symmetric variables detection
symmetry
synchronous circuits
synchronous digital systems
synthesized modules
system performance
system-on-chip
system-on-chip design
table lookup
target TLU table lookup architecture
target wire
technology mapping
technology parameters
technology remapping
telescopic unit
telescopic units
templates
temporofunctional crosstalk noise analysis
test generator
test mode
test point insertion
test vectors
test-mode point insertion technique
testability
testing set
thin Boolean functions
thin-oxide decap
three-dimensional integrated circuits
three-input lookup table cells
through silicon vias
throughput
throughput-intensive design
time division multiplexing
time interval
time phases
time step
time-domain analysis
time-multiplexed computation
time-multiplexed routing resources
time-varying current sources
time-varying networks
time-varying switching-current model
timed Boolean logic
timing
timing analysis
timing aware
timing aware sequential budgeting
timing circuits
timing closure
timing constraint
timing constraints
timing critical nets
timing defect diagnosis
timing driven layout synthesis
timing driven placement
timing errors
timing estimation
timing failures
timing faults
timing jitter
timing optimization
timing relations
timing window
timing window propagation
timing windows
timing-aware automatic test pattern generation sets
timing-aware multiple-delay-fault diagnosis
timing-aware power noise reduction
timing-driven partial scan design
timing-driven placement
top level
topological analysis
topology
total area
total number minimisation
total wire length guided placers
totally symmetric functions
track number
trade-off curve
transfer functions
transformations of function graphs
transient analysis
transistor circuits
transistor current model
transistor footprint
transistor mask
transistor sizes
transistor sizing
transistor-level high-density regular circuits
transistors
transistors threshold voltage
transition density
trees (mathematics)
trial-and-error redundancy testing
twin gate vertical slit FET
two dimensional FPGAs
two pole transfer function
two-dimensional field programmable gate array
two-dimensional FPGA
two-level form specified functions
two-level hotspot pattern classification methodology
two-level representation
two-phase clocking scheme
two-pin connection
two-pin net lists
two-sided routing
two-step technology mapping algorithm
TWP
uncorrelated power noise
unified logic/physical synthesis scheme
uniform RLC power
universal logic blocks
universal logic gate
unknown input correspondence
upper bound delay constraints
user specified constraints
user-specified control sequence
variable-latency design style
variable-latency designs
VCGA-based design
verifying equivalence
vertical connections
vertical slit device architecture
vertical slit field effect transistor circuits
vertical slit field effect transistors
vertical slit transistor based integrated circuit
very fine granular pipelining
very large scale integrated circuit
very large scale integration
VeSFET
VeSFET circuits
VeSFET technology
via configurable gate array
via configurable regular fabric
via configurable routing architecture
via-configurable functional cell
via-configurable functional cells
via-configurable gate array
via-configurable inverter arrays
via-configurable logic block
via-configurable regular fabric architecture
via-configurable regular fabric design
via-configurable routing architectures
via-decomposable flip-flop
victim driver modeling
victim switching waveform
virtual voltage level
VLSI
VLSI circuit
VLSI circuit design
VLSI design
VLSI design implementation
VLSI design process
VLSI interconnections
VLSI layout design
VLSI manufacturing technology
VLSI placement
VLSI realization
voltage drop
voltage drop aware power grid optimization
voltage regulators
wake-up order
wake-up time constraint
wave pipelining
wave steered circuits
wave steered FSMs
wave steering
wave steering technique
wave-steered design methodology
waveform analysis
white-space allocation
white-space allocation scheme
wire length
wire length prediction based clustering
wire ordering
wire redundancy checking
wire sizing
wire spacing
wire width
wire width optimization
wire-length prediction
wiring
wiring segmentation
worst delay estimation
worst-case crosstalk noise
Xerox general cell benchmark
Xilinx 4000 style architecture
Xilinx architecture
Xilinx-4000-like routing architecture
Xilinx-like routing architectures
Xilinx-like routing model
YADD structures
YADDs
yet another decision diagram
Yet Another Decision Diagrams
Found 0 results
Author
Title
Type
Year
Filters:
Author
is
Wong, C.K.
[Clear All Filters]
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
No items found
Modify
or
remove
your filters and try again.